Return to Article Details Verification of UART and I2C Protocols Using System Verilog Download Download PDF