Secure Car Parking System Using VHDL

Authors

  • K Nagaraju Assistant Professor, Department of Electronics and Communication Engineering, PACE Institute of Technology and Sciences, Ongole, India Author
  • B Alekhya Assistant Professor, Department of Electronics and Communication Engineering, PACE Institute of Technology and Sciences, Ongole, India Author
  • Namrata Vilas Sarode Assistant Professor, Department of Electronics and Communication Engineering, PACE Institute of Technology and Sciences, Ongole, India Author
  • N Manogna Assistant Professor, Department of Electronics and Communication Engineering, PACE Institute of Technology and Sciences, Ongole, India Author

DOI:

https://doi.org/10.55524/

Keywords:

VHDL, Xilinx, sensor, proximity sensor

Abstract

The usage of automobiles is rising  nowadays days and people, resulting in more pollution,  traffic jams, and parking space difficulties, with finding a  free parking spot becoming increasingly challenging. A  Verilog HDL-based system for safe automotive parking  management is proposed in this research. This machine is  made up of three main parts. To begin, locate the vacant  slot and determine the distances. Second, book the  appointment in online mode. The entering and exit of  passwords are addressed. Safety measures number three.  Our execution time is drastically reduced when we use  FPGA. This project is about building a software that  simplifies the process of finding available parking spots  and monitoring of parked cars in a parking lot. Due to the  huge increase in availability and utilisation of parking  spaces, finding an empty spot has become significantly  more difficult. In recent years, there has been an increase  in the number of automobiles. As the number of  automobiles on the road grows, traffic congestion and  pollutants increase (noise and air pollution). An FPGA based parking system has been developed to overcome this  issue. This article uses terminology like empty parking,  Verilog HDL, traffic congestion, and vehicles.

Downloads

Download data is not yet available.

References

Ramneet Kaur and Balwinder Singh (2013) “Design And Implementation Of Car Parking System On FPGA”. Du Shaobo; Sun Shibao;,(2012) "The research and design of intellectual parking system based on

RFID," Fuzzy Systems and Knowledge Discovery (FSKD), 2012 9th International Conference on,pp.2427-2430.Gongjun Yan; Weiming Yang; Rawat, D.B.; Olariu, S.,(2011) "SmartParking: A Secure and Intelligent Parking System," Intelligent Transportation Systems Magazine, IEEE , vol.3, no.1, pp.18-30.

Liu Liang; Zhang Lei; Xiao Jin;,(2011) "The simulation of an auto-parking system," Industrial Electronics and Applications (ICIEA), 2011 6th IEEE Conference on , pp.249-253.

Soh Chun Khang; Teoh Jie Hong; Tan Saw Chin; Shengqiong Wang;(2010), "Wireless Mobile-Based Shopping Mall Car Parking System (WMCPS)," Services Computing Conference (APSCC), 2010 IEEE Asia-Pacific , pp.573-577.

Liu Liang, Zhang Lei, Xiao Jin,(2011). The simulation of an auto-parking system. 6th IEEE Conference on Industrial Electronics and Applications, 249-253.

Du Shaobo et. al, (2012). The Research and Design of Intellectual Parking System Based on RFID. 9th International Conference on Fuzzy Systems and Knowledge Discovery, 2427-2430.

Downloads

Published

2022-11-30

How to Cite

Secure Car Parking System Using VHDL . (2022). International Journal of Innovative Research in Computer Science & Technology, 10(6), 43–46. https://doi.org/10.55524/